Cadence IUS 9.20 Linux

Description

Install Cadence IUS as per the IT instructions (can be found on our wiki or on the IT web site). That means install Cadence IUS by running setup.exe from the following directory: \stuappNETAPPSCadenceIUS54QSR2_wint.UpdateCDROM1Setup.exe a. You will be installing the IUS tools. You will not be installing the license manager. Uninstall all previous versions of the IUS tools first. b. Follow all obvious prompts and install the obvious/defaults. I have already installed this software at the time of this tutorial, so I do not have screenshots. Sorry. c. The license manager should already be set if you have installed other Cadence software (for instance, PSPICE). If not, the license manager should be set to 5280@STUAPP. d. Restart if instructed. e. The Cadence IUS tools are now in your Start Menu under Cadence Design Systems. 2) This tutorial assumes you have some Verilog to simulate. We will present the example of the first lab in ENGR 3410, which is a MIPS register file. Organize your Verilog source and tests into one directory and start the program called NCLaunch. If asked, choose single-step simulation. You should then be presented with the following window: 12) Unfortunately, these signals were probably not monitored during the initial simulation. You must reset the simulation and re-run it to collect these values. To do that, simply click on the rewind button next to the play button, and then begin the simulation again. 13) If you make a change to your design, you will need to reinvoke the simulator. To do so, select Simulation > Reinvoke Simulator. This should re-compile the dependent code you changed, re-elaborate the necessary modules, and reinvoke the simulator on the new snapshot. 14) Notes: a. If you ask the simulator to simulate a lot of low-level signals, it will take forever. Your CPU will hit 100% utilization, and the simulation will seem to lock up. In those cases, I have usually just forcibly quit the application from the Windows Task Manager and restarted the simulation with a more selective set of signals. b. Sometimes reinvoking the simulator does not work right. It has thrown various errors when it doesn’t work, none of which seem logical. If all else fails, I simply start over. c. Often, processes will start that lock the design directory even after all Cadence programs seem to be closed. Most likely there is a process oBserver.exe running that needs to be killed to free the directory lock. d. You must be connected to the Olin network in order to use the Cadence simulation tools. The license server is a network machine (STUAPP) and must be reachable. This works reliably over VPN. 15) All of this can be done from the command line as well. a. Execute ncverilog to do a text-only simulation. b. Execute ncverilog +gui to do a GUI simulation.

Download