cadence EXT (QRC Extraction)14.15

Description

3D full-chip parasitic extraction and analysis Cadence® Quantus™ QRC Extraction Solution is the industry’s fastest, most accurate parasitic extraction tool. Built with massively parallel technology and integrated with a field solver (Quantus FS), the solution delivers up to 5X faster signoff extraction for system-on-chip (SoC) and custom/analog designs. As a single, unified tool, Quantus QRC Extraction Solution supports both cell-level and transistor-level extractions during design implementation and signoff. The solution is fully certified for the 16nm FinFET process at TSMC. For better and faster design correlation and convergence, Quantus QRC Extraction Solution is seamlessly integrated with both Cadence Encounter® digital implementation and Cadence Virtuoso® custom design platforms. This integration supports in-design signoff methodology.

Download