Aldec Riviera-PRO 2009.02

  • Size:143.8MB
  • Language:english
  • Platform:Winxp/Win7
  • Freshtime:2009-11-08
  • Search:Aldec Riviera-PRO

Description

Aldec, Inc., announced today the release of Riviera-PRO 2008.06, a behavioral, structural and mixed HDL language simulator for multi-million gate ASIC and FPGA designs. Riviera-PRO 2008.06 includes Verilog® simulation performance enhancements, increased SystemVerilog support, seamless SystemC/C/C++ and HDL co-debugging in common environment and new support for SVA and PSL assertions in the Waveform Viewer. Riviera-PRO supports System Level Verification with SystemC and SystemVerilog, Assertions based verification, Open Verification Methodology (OVM), Electronic System Level (ESL) and STARC® based Linting. Verilog Simulation Performance Speed-up Verilog simulation speed at the gate level has been increased up to 2.3X over the previous release. Memory allocation during simulation has been significantly reduced, to enable larger solutions on 32 and 64 bit platforms. All mixed language designs will benefit from Verilog performance enhancements. SystemVerilog Support Aldec Riviera-PRO 2008.06 supports IEEE 1800TM SystemVerilog a unified hardware description and verification language. The tool provides support for SystemVerilog design and verification constructs, incorporating enhanced string support, class inheritance, packages, DPI and libraries. Seamless debugging of SystemC/C/C++ and HDL Ideal for Electronic System Level (ESL) designers, Riviera-PRO offers new level of integration enabling SystemC/C/C++ and HDL co-debugging in one simulation environment. Riviera-PRO includes identical procedures for tracing source code, setting breakpoints, viewing objects, and more – no matter what language was used to describe given portion of the system. New Assertions Support in Waveform Viewer The Riviera-PRO interface dramatically increases visibility of assertions and coverage points, enabling their direct, graphical display in a Waveform Viewer and more detailed statistics in enhanced Assertion and Cover Viewers. Assertion-based verification is enabled throughout the Riviera-PRO product. VHDL 200x support Riviera-PRO provides support for the most recent version of the VHDL4.2, IEEE 1076 2008 standard. Riviera-PRO products will include VHDL 200x Standard and IEEE 1076™ 2008.

Download

Related recommendations