Synopsys IC Compiler 2008.09 SP5 Linux

  • Size:359MB
  • Language:english
  • Platform:Winxp/Win7
  • Freshtime:2010-04-02
  • Search:Synopsys IC Compiler

Description

::::::English Description::::::
The Galaxy™ Design Platform is an open, integrated design implementation platform with best-in-class tools, enabling advanced IC design. Anchored by Synopsys?industry-leading IC implementation tools and the open Milkyway?database, the Galaxy Design Platform incorporates consistent timing, signal integrity (SI) analysis, common libraries, delay calculation, and constraints from RTL all the way to silicon.

Key Benefits

Includes best-in-class tools
Is built on foundation of PrimeTime® and Milkyway
Ensures convergent flow via consistent timing and common engines
Addresses key challenges including timing, signal integrity, test and power management
Proven for 90 nanometers
Provides fastest path to the best results


Design Challenges
Chip design challenges increase every year. Each advance in silicon process technology brings additional demands just to create a functioning chip. Added to that are higher expectations for performance as well as ever-increasing size. Designers at 130 nanometers and below are finding that they need a complete design toolset that spans the range of today抯 issues and will adapt to tomorrow抯 needs without the overhead of making the individual tools work together.

Timing Closure
Chip timing is affected by many factors. Creating the optimal logic structure and physical implementation is required, as well as factoring in testability and power management, and avoiding signal integrity issues. Designers who attempt to address each concern sequentially find themselves iterating in an attempt to converge on the optimal solution. To get through the process quickly and cleanly, designers need a convergent flow that considers all the constraints up front, picks a good starting point, and refines the design to optimal solution with no surprises during sign-off or after tape-out.

Design for Yield (DFY)
Attaining high yield for nanometer designs is a growing challenge. Reducing yield loss mechanisms has become increasingly dependent on design, not just improvement of the manufacturing process. Although defects are manifested during manufacturing, most can now be prevented during implementation through the use of an effective design-for-yield solution.

Support for CCS Modeling Technology
The Galaxy design platform fully supports the Composite Current Source (CCS) modeling technology. The unified CCS model for timing, noise and power, extends the analysis and optimization capabilities within the Galaxy Design Platform to concurrently address nanometer effects and thereby reduce design margins and minimizing iterations.

Signal Integrity
Many designers have treated signal integrity as a post-processing check, forcing engineers late in the design cycle to face the prospects of missed speed goals, extensive manual modifications or even costly re-spins. What is needed is a platform approach to signal integrity that can prevent the majority of issues and provide sign-off quality checks before the design is committed to silicon.

Power Management
As chips become more complex -- especially at 130 nanometers and below -- leakage becomes an issue, and power optimization and analysis need to be considered as an integral part of the design implementation flow.

Design for Test
Modern chips spend a significant amount of time on the tester, and the cost of test is an increasing part of chip cost. What is needed is a solution that spans the entire design flow and is capable of meeting chip performance goals, reduces the cost of test, and helps to increase yield.

Design Size
The sheer size of today抯 chips overstresses the capabilities of a design flow built from a collection of point tools. Simply reading and writing ASCII files can consume hours of valuable design time and cause frustrating delays if multiple iterations are needed. At 130 nanometers and below, it is necessary to use a common integrated database to remove the data file bottleneck.

Solution
Using point tools in a mix and match manner is no longer adequate. What is needed is an integrated platform to address the issues created by today抯 designs. Synopsys introduced the Galaxy Design Platform to address today抯 toughest challenges. Galaxy delivers:
Best-in-class tools
A complete solution from RTL to silicon
Integration with the Milkyway database for fast, accurate data transfer
Timing closure
Signal integrity closure with comprehensive prevention, analysis and sign-off
Test closure
Power management
Extensive silicon vendor support, including the latest process nodes
A wide choice of compute platforms

Download