Found 1 query results that match Questasim 10.6c

  • Mentor.Graphics.Questasim.v10.6c_Questa Advanced Simulator

    Mentor.Graphics.Questasim.v10.6c for linux Questa’s core simulation and debug engine The Questa® Advanced Simulator combines high performance and capacity simulation with unified advanced debug and functional coverage capabilities for the most complete native support of Verilog, SystemVerilog, VH.....
    Language : english Authorization: Pre Release Freshtime:2017-11-13 Size: 1DVD