Synopsys VCS (verilog compiled simulator) 2008.09 Linux

  • Size:735MB
  • Language:English
  • Platform:/Linux
  • Freshtime:2008-10-13
  • Search:

Description

随着系统级芯片设计的标准接口的数量和复杂度的持续增加,验证工程师面临巨大的挑战。Synopsys在使用验证IP来解决这些挑战的方面走在前列,这种方法简化了测试平台的开发,提供了更好的覆盖率,并在仿真运行时间性能方面实现了显著的改进。
  VCS 2008.09 Linux 验证库建立在经实践验证的DesignWare验证IP的基准上,并添加了对Synopsys的参考验证方法学(RVM)和本征测试平台的支持,能够实现覆盖率驱动的测试平台方法学,而且其运行时间性能提高了5倍。
  VCS 2008.09 Linux 验证库是业界范围最广的基于标准的验证IP产品组合,可以方便地集成到Verilog、SystmVerilog、VHDL和Openvera的测试平台中,用于生成总线通信以及协议违反检查。监测器提供了综合全面的报告,显示了对总线通信协议的功能覆盖率。
  VCS验证库的验证IP也包含在DesignWare库中,或作为独立的套件购买。

主要优势


  ● 业界范围最广的IP产品组合
  ● 采用VCS & Pioneer NTB时,仿真性能有显著的提高
  ● 可充分进行配置,达成对测试的更好控制和更快的开发测试易于使用的界面,并且提供测试平台示例,加快学习速度,并加速测试平台的开发过

 

::::::English Description::::::

VCS 2008.09 Linux is the industry抯 most comprehensive RTL verification solution in a single product, providing advanced bug-finding technologies, a built-in debug and visualization environment and support for all popular design and verification languages including Verilog, VHDL, SystemVerilog and SystemC™. The VCS solution抯 advanced bug-finding technologies include full-featured Native Testbench, complete assertions and comprehensive code and functional coverage to find more bugs faster and easier. Additionally, the VCS Verification Library provides verification IP for today抯 most popular bus standards. By natively integrating these technologies within its unique, single-compiler architecture, the VCS solution delivers up to 5X faster verification performance compared with using multiple, stand-alone tools. The VCS solution抯 powerful debug and visualization environment minimizes the turnaround time to find and fix design bugs.

 

Key Benefits
  • Full-featured, Native Testbench support for SystemVerilog and OpenVera® testbenches enables the creation of highly effective verification environments using object-oriented techniques, constrained-random stimulus and functional coverage, and provides up to 5X faster verification performance compared with stand-alone testbench tools

     

  • Included Synopsys VMM methodology and building-block libraries help accelerate the creation of robust, reusable verification environments following industry best practices for coverage-driven, constrained-random and assertion-based verification techniques

     

  • Built-in, complete support for SystemVerilog assertions (SVA) and OpenVera assertions (OVA), a library of over 50 ready-to-use checkers and the VCS Assertion IP Library for many popular interface protocol standards enable fast deployment of an assertion-based design-for-verification (DFV) methodology to speed bug detection and design quality

     

  • Built-in, comprehensive coverage metrics and unified coverage reporting aggregate functional, assertion, and code coverage data to provide a single view of coverage attainment against verification goals

     

  • Support for all popular design and verification language standards, including Verilog, VHDL, SystemVerilog and SystemC enables higher design and verification productivity and faster integration of complex SoCs built using multiple languages

     

  • Powerful debug and visualization environment provides easy access to design and verification data via a flexible-use model incorporating popular drag-and-drop, menu and icon-driven methods for shorter analysis and debug cycles

     

  • Industry-leading performance and capacity accelerate verification throughput and time-to-market

     

  • Integration within Synopsys Discovery AMS™ solution provides the highest throughput and accuracy for mixed-signal simulations

     

  • Integration with other best-in-class Discovery RTL Verification Platform solutions ensures a smooth flow and complete design verification to find bugs quickly and easily.

     

  • Fast, native support for DesignWare® verification IP speeds verification of designs incorporating a wide range of standard interface protocols

 

Full-Featured, Native Testbench and Industry-Leading SystemVerilog Support
VCS Native Testbench (NTB) provides built-in natively-compiled support for full-featured SystemVerilog and OpenVera testbenches including object-oriented, constrained-random stimulus and functional coverage capabilities. Multiple solver engines simultaneously analyze all user-specified constraints to rapidly generate high-quality random stimulus to verify the design for corner-case behavior. These engines will find a solution to user constraints, if one exists, minimizing constraint conflicts and maximizing verification productivity.

Verification Methodology
The VCS solution s powerful testbench engines are complemented by the proven VMM methodology, defined in the popular Verification Methodology Manual for SystemVerilog, and layered testbench architecture that enables both new and experienced verification engineers to quickly create and deploy advanced, reusable, efficient verification environments. This methodology, developed and used by verification experts, helps users adopt industry best practices to get the best possible results from the VCS solution. A detailed reference manual, pre-written testbench building blocks (for both SystemVerilog and OpenVera) and examples are provided with the VCS solution. The VCS Verification Library provides extensive support for the VMM methodology including an object interface and scenario generators.

Complete Assertion Technologies
The native assertion technology in the VCS solution enables an efficient methodology for deploying design-for-verification techniques. The built-in support of SystemVerilog and OpenVera assertions allows designers to easily adopt DFV and find more bugs more quickly. A rich assertion-checker library and a unique library of Assertion IP make it even easier to deploy DFV techniques across teams and improve their verification quality.

The predefined assertion checker library of over 50 assertion checkers makes it very easy for designers and verification engineers to adopt and deploy DFV without a steep learning curve. Each checker抯 implementation can be viewed and customized for the designers?own specific needs.

The VCS Assertion IP Library contains a set of advanced checkers and monitors that enable the verification of correct design behavior for standards-based interface protocols. As a contributor to verification quality, the Assertion IP Library components include built-in functional coverage metrics.

Please contact your local Synopsys representative for Assertion IP titles and availability.

Native Testbench support for the VCS Verification Library speeds verification of designs incorporating the industry抯 most popular bus and I/O standards. The VCS Verification Library provides extensive support for the Reference Verification Methodology (RVM).

Comprehensive Coverage
The VCS solution provides high-performance built-in coverage technology to measure the attainment of the verification goals. The comprehensive code coverage metrics include: line, FSM, condition, toggle and path. The VCS solution also provides assertion coverage to make sure that the assertions are fully exercised during simulation. Functional coverage is also captured with the NTB support for coverage groups and scenarios.

The VCS solution抯 unified coverage makes it easy to capture, aggregate and report coverage information. With VCS, no timeconsuming code coverage instrumentation step is required ensuring maximum ease of use. Coverage technology is natively compiled in the solution, delivering the highest performance and productivity. Auto-grading coverage reports help prioritize the regression runs and even eliminate unnecessary tests. Aggregate reports give a measure of how well the many regression runs are fully testing the design. Finally, VCS coverage report combines code assertion and functional coverage into a single easy to read web-based report.

Advanced Debugging and Visualization Environment
The VCS solution includes the Discovery Visualization Environment (DVE), a new next-generation, full-featured debug and visualization environment. The DVE has been specifically architected to work with all of the advanced bug-finding technology in VCS and shares a common look and feel with other Synopsys graphical-based analysis tools. DVE enables easy access to design and verification data along with an intuitive drag-and-drop or menu-and-icon driven environment. Its debug capabilities include: tracing drivers, waveform compare, schematic views, path schematics, and support for the highly efficient Synopsys compact VCD+ binary dump format.

It also provides elegant mixed-HDL (SystemVerilog, VHDL and Verilog) and SystemC/C++ language debugging windows along with next-generation assertion tracing capabilities that help automate the manual tracing of relevant signals and sequences. TCL support is provided for interaction or batch control and skin / menu customization. A unified command language is supported to provide a common set of commands for all tools, languages and environments making it easy to deploy new technology across design teams. These commands are logged for all actions in DVE and can be modified or replayed easily.

Download